Specific Process Knowledge/Etch/Aluminum Oxide/Al2O3 Etch with III-V ICP

From LabAdviser

Feedback to this page: click here

THIS PAGE IS UNDER CONSTRUCTION


Figure 1. 100-nm-thick ALD Al2O3 films deposited on silicon wafer were patterned using DUV lithography and ICP etched with a BCl3 and Ar plasma.


Figure 2. EBL nanopattern transferred into silicon with ALD alumina hard mask and ICP etching. SEM images of EBL HSQ resist nanopatterns of disc arrays (a) on 10-nm-thick ALD alumina coated Si wafer. Resist patterns were etched exposing the underlying silicon (b), and the hard mask was used for pattern transfer to etch silicon pillar arrays. (c) was a tilted image of Si pillar arrays. (d) shows HSQ gratings that were transferred into the alumina film (e), which was used as a hard mask for silicon etch. (f) shows a cross-section image of the etched silicon. ZEP resist gratings (g) transferred into the alumina film (h), and the grating patterns were etched into the silicon (i).

Abstract Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for MEMS and NEMS. 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar plasma chemistry, the Al2O3 etch rate was controlled to between 0.1 to 1 nm/min. The etch selectivity of resist over Al2O3 ranged between 4:1 to 1:1. Etch-rate was linearly dependent on the substrate bias power. The etch profile angle can be controlled to between 20 and 82° that almost preserved the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching. Based on these studies, we provide guidelines for alumina plasma etching on the nanoscale.

Parameter Recipe name: no name yet (testing recipe)
Coil Power [W] 1200
Platen Power [W] 200
Platen temperature [oC] 0
BCl3 flow [sccm] 60
Cl2 flow [sccm] 30
Pressure [mTorr] 4


Material to be etched Etch rate using the above parameters
Al2O3
  • ~93nm/min (30s, 1/4 wafer on 6" carrier)
    2017-01-20 bghe@danchip